LEDA projects

Laboratory for Electronic Design Automation


ALECSIS

Analog and Logic Electronic Circuit SImulation System

 

   

Simulation

examples

 

Analogue multiplier simulation

SC filter

Switching voltage regulators

MOSFET modeling using artificial neural network

Adaptive fuzzy-logic vehicle engine controller

Continuous time control system simulation

Nonlinear magnetic circuit modeled using artificial neural network

Pressure sensing system

Thermoelectrical simulation

Digit-serial 8-bit systolic array multiplier

 

 

LAN Ethernet network simulation

Successive-approximation 8-bit serial A/D converter

Second order sigma-delta modulator

Capacitive pressure sensor followed by A/D conversion

Alecsis-VHDL co-simulation

AleC++ - VHDL-AMS co-simulation

Bouncing ball -- discontinuity example

Modeling of D/A interface for mixed-mode behavioral simulation

Frequency domain simulation

 

pAless - a parallel version of Alecsis is being developed

Overview

Alecsis (Analogue and Logic Electronic Circuit Simulation System) has been under development at the Faculty of Electronic Engineering in Niš since 1991. It is now installed at several European universities, and is mostly used for analysis of nonelectrical or mixed physical domain microsystems. Among the successfully simulated systems one should mention analog circuits, switched-capacitor circuits, neural networks, fuzzy-logic systems, magnetic circuits, microelectromechanical systems such as pressure and flow sensors, digital circuits, computer networks, mixed-signal circuits, etc. This book gives an overview of use of Alecsis in different problem domains.

Alecsis 2.4 is a simulation environment that connects digital and analog simulation engine to a modeling interface. It comprises tools for solving analogue designs (mechanisms for solving system of nonlinear differential equations characterized by a sparse matrix), as well as discrete-event simulation subroutines. The user interface to the simulator is a modeling (hardware description) language called AleC++. The language is used both for modeling and for customizing the simulator to different environments and purposes. The simulator and the language support electrical, logic, but also mixed-signal, mixed-mode and mixed-domain simulation.

AleC++ is a superset of C++ and inherits its properties. It is an object-oriented language providing data encapsulation, inheritance and polymorphism through the use of C++ class construction that appears to be a powerful mechanism for modeling. AleC++ is compatible with SPICE device models. It upgrades SPICE model card concept and proposes a general method for mixed-signal model parameterization in an object-oriented manner. Language AleC++ can be used in both compiled and in interpreted mode.

Several examples from different domains (analog, discrete-event, mixed-mode, mixed-signal, and mixed-domain) illustrate the modeling power of AleC++ and simulation capabilities of Alecsis 2.4.

Although we are continuing the development of our simulator, we consider version 2.4 to be stable and accurate, and we decided to inform research and industrial community about it. The simulator is distributed in the scope of research cooperation with our partners. These projects are in the domain of electronics, micromechanics and mechatronics, which proves that Alecsis can be successfully customized for different applications.

For Alecsis installation, one needs a UNIX workstation with C compiler, YACC and LEX tools and XWindows graphical interface. Up to now, the simulator is installed on HP9000s300/400, HP9000s700/800, IBM RS6000, Silicon Graphics, and SUN SPARC workstations, as well as on PCs running under LINUX. User's Manual which explains installation and usage of the simulator is available. If you have interest for cooperation in this field, you can contact us using the address given on the front page.

Since 2006. a parallel version of Alecsis named pAless has been develloping, mostly by B. Andjelković.

 

Documentation*


Alecsis The Simulator
(complete) 3.97 MB
 


Alecsis 2.3 User's Manual page

 

 
(in Serbian)

Maksimović Dejan, MSc thesis
Simulacija digitalnih sistema hibridnim simulatorom Alecsis 2.1 (2.2MB)

   

SEPARATED CHAPTERS:

 

 

 

 

 

 

*Documentation is in pdf fileformat and requires Adobe Acrobat Reader to be installed. Download Latest Version of Acrobat Reader